OpenCores
no use no use 1/1 no use no use
Help calculator project using FPGA Spartan 3A
by jefri on May 22, 2011
jefri
Posts: 2
Joined: May 20, 2011
Last seen: May 24, 2011
Hello Guys...
I want to make Calculator using FPGA Spartan 3A with VHDL code via keyboard.

I have connected PS2 Keyboard with Spartan's LCD.

My Problem is i don't have enough references how to make calculator logic.
any reference and script examples would help me alot...

Thx
no use no use 1/1 no use no use
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.